ECE 215 Banner
Home
Syllabus
Accounts
Homework
Lab
Project
References

ECE 126 Fall 2002 Account Information


In order for the CAD Tools available in SEAS Computing Facility (4th Floor of Tompkins Hall) to work properly, your UNIX environment needs to know some information about where to look for certain files. This is done by adding entries (text) to your .profile file, which is a system file located in your personal home directory. For more information on .profile files, directory structures, and basic UNIX commands, please visit the SEAS Information page on Basic UNIX Commands. To add these lines, use you favorite text editor (vi, emacs, pico) to modify the file and save the results, or use the UNIX "cat" command as shown for the individual tools.

Magic / IRSIM - Setting up your account

Magic is a popular integrated circuit layout tool in common use in universities and a number of industrial sites.

To enable you to use these tools, enter the following command from a Terminal window command prompt:

cat  ~ece126/profiles/magic.profile  >>  ~/.profile
The following are the lines that should be appended (or added) to your .profile:
 
#------------------------------------------------------
#- This command allows the Backspace key to function
#------------------------------------------------------

stty erase ^H

#------------------------------------------------------
#- Add these lines to enable MAGIC and IRSIM
#------------------------------------------------------

PATH=$PATH:/apps/magic/bin:/usr/openwin/bin
MANPATH=$MANPATH:/apps/magic/man
export PATH MANPATH CAD_HOME="/apps/magic"
 

Any line that begins with # is a comment line and may be omitted, but is included here for clarity. It is suggested that these lines be kept "in order" so that the correct settings will be applied to your user account.

NOTE: These settings will not take effect until you have saved the file (as .profile in your main user directory), logged completely out of your current UNIX session (not just your current window, but log out of your ENTIRE SESSION), and log back into your UNIX account. Closing all your X-term windows is not the same things as logging out. You have not logged out until the screen blanks and the Login dialog box appears again.
 

Cadence - Setting up your account

The Cadence® North America University Software Program (University Program) provides qualified North American universities easy access to their commercial software.Cadence has software tools for designing and analyzing circuit boards, semiconductors, computer systems, networking, and telecommunications equipment, consumer electronics, and a variety of other electronic-based products.

2001 standard university Program bundles

  •     System-level design bundle
  •     Design and verification bundle
  •     Custom integrated circuits bundle
  •     Deep submicron bundle
  •     PCB systems bundle
To enable you to use these tools, enter the following command from a Terminal window command prompt:
    cat  ~ece126/profiles/cds.profile  >>  ~/.profile
The following are the lines that should be appended (or added) to your .profile:
#------------------------------------------------------
#- Add these lines to enable CADENCE IC Tools
#------------------------------------------------------

export CDS=/apps/cadence/IC446
export CDS_LICENSE_DIR=$CDS/share/license
export CDS_LIC_FILE=$CDS_LICENSE_DIR/license.80cc68fa
export CDS_INSTALL_DIR=$CDS/tools/dfII
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$CDS/tools/lib
export PATH=$PATH:$CDS/tools/bin:$CDS/tools/dfII/bin
#export PATH=$PATH:$CDS/tools/concice/bin:$CDS/dracula/bin
export MANPATH=$MANPATH:$CDS/share/man:$CDS/tools/man

export CDS_Netlisting_Mode="Analog"
export CDS_SITE=/apps/cadence/local
export USE_NCSU_CDK=TRUE
#export SKIP_CDS_DIALOG=TRUE
 
 

Any line that begins with # is a comment line and may be omitted, but is included here for clarity. It is suggested that these lines be kept "in order" so that the correct settings will be applied to your user account.

NOTE: These settings will not take effect until you have saved the file (as .profile in your main user directory), logged completely out of your current UNIX session (not just your current window, but log out of your ENTIRE SESSION), and log back into your UNIX account. Closing all your X-term windows is not the same things as logging out. You have not logged out until the screen blanks and the Login dialog box appears again.
 

NC Verilog and SignalScan- Setting up your account

To enable you to use these tools, enter the following command from a Terminal window command prompt:
cat  ~ece126/profiles/nc_verilog.profile  >>  ~/.profile
The following are the lines that should be appended (or added) to your .profile:
#------------------------------------------------------
#- Add these lines to enable NC-VERILOG
#------------------------------------------------------

export CDS=/apps/cadence/LDV32
export CDS_LICENSE_DIR=$CDS/share/license
export CDS_LIC_FILE=$CDS_LICENSE_DIR/license.80cc68fa
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$CDS/tools/lib
export PATH=$PATH:$CDS/tools/bin:$CDS/tools/dfII/bin
export MANPATH=$MANPATH:$CDS/share/man

export LD_LIBRARY_PATH = $LD_LIBRARY_PATH:$CDS/tools/verilog/lib
export PATH=$PATH:$CDS/tools/verilog/bin
export LD_LIBRARY_PATH = $LD_LIBRARY_PATH:$CDS/tools/vtools/vfault/lib
export PATH=$PATH:$CDS/tools/vtools/vfault/bin

 
NOTE: These settings will not take effect until you have saved the file (as .profile in your main user directory), logged completely out of your current UNIX session (not just your current window, but log out of your ENTIRE SESSION), and log back into your UNIX account. Closing all your X-term windows is not the same things as logging out. You have not logged out until the screen blanks and the Login dialog box appears again.

Synopsis - Setting up your account

To enable you to use these tools, enter the following command from a Terminal window command prompt:
cat  ~ece126/profiles/syn.profile  >>  ~/.profile
The following are the lines that should be appended (or added) to your .profile:
#------------------------------------------------------
# Add these lines to enable Synopsys
#------------------------------------------------------

export PATH = $PATH:/apps/synopsys/2000.11/sparcOS5/syn/bin
export SNPSLMD_LICENSE_FILE = /apps/synopsys/2000.11.lic/admin/license/snpslmd.lic
 

Any line that begins with # is a comment line and may be omitted, but is included here for clarity. It is suggested that these lines be kept "in order" so that the correct settings will be applied to your user account.

You will also need to copy two additional Synopsys Setup Files:
.synopsys_dc.setup

search_path = search_path + { /apps/synopsys/2000.11/sparcOS5/syn/bin}
search_path = search_path + { /apps/synopsys/2000.11/libraries/syn}
link_library = {"*" lsi_10k.db};
target_library = {lsi_10k.db};
symbol_library = {lsi_10k.sdb};
 
and the Sample Script file, which will allow you to more easily make use of the Synopsys Tools.

YOUR_FILE_NAME.scr

remove_design -all;

read -format verilog "YOUR_FILE_NAME_HERE.v"

active_design=YOUR_MODULE_NAME_HERE
current_design active_design

uniquify

set_max_area 0

create_clock -name "clk" -period 10 -waveform {0.0 5.0}
set_clock_skew -ideal -uncertainty 0.33 clk
set_dont_touch_network find(clock, "clk")
set_input_delay -clock clk 5 all_inputs()
set_output_delay -clock clk 5 all_outputs()
/*set_load 5 * load_of("atl60_3_wccom/INV2/I") all_outputs()*/
/*set_drive drive_of("atl60_3_wccom/DFFC/Q") all_inputs()*/
/*set_drive 0 clk*/
compile -map_effort high
/*ungroup -all
compile -map_effort high*/
write -format db -hierarchy -output YOUR_OUTPUT_FILE_NAME_HERE.db
write -format verilog -hierarchy -output YOUR_OUTPUT_FILE_NAME_HERE.v
check_design > YOUR_OUTPUT_FILE_NAME_HERE.chk
report_area > YOUR_OUTPUT_FILE_NAME_HERE.area
report_timing -path full -delay max -max_paths 5 -nworst 1 > YOUR_OUTPUT_FILE_NAME_HERE.timing
report_timing -delay min >> YOUR_OUTPUT_FILE_NAME_HERE.timing
report_constraint -all_violators -verbose > YOUR_OUTPUT_FILE_NAME_HERE.const


You must place the .synopsys_dc.setup file in YOUR home directory. It is recommended you place the YOUR_FILE_NAME.scr in YOUR working directory.

NOTE: These settings will not take effect until you have saved the file (as .profile in your main user directory), logged completely out of your current UNIX session (not just your current window, but log out of your ENTIRE SESSION), and log back into your UNIX account. Closing all your X-term windows is not the same things as logging out. You have not logged out until the screen blanks and the Login dialog box appears again.
 

Spice3 - Setting up your account

To enable you to use these tools, enter the following command from a Terminal window command prompt:
cat  ~ece126/profiles/spice.profile  >>  ~/.profile
The following are the lines that should be appended (or added) to your .profile:
#------------------------------------------------------
#- Add these lines to enable Spice3
#------------------------------------------------------

export PATH=$PATH:/apps/spice3/bin
export spice_lib_dir=/apps/spice3/lib
 

Any line that begins with # is a comment line and may be omitted, but is included here for clarity. It is suggested that these lines be kept "in order" so that the correct settings will be applied to your user account.

NOTE: These settings will not take effect until you have saved the file (as .profile in your main user directory), logged completely out of your current UNIX session (not just your current window, but log out of your ENTIRE SESSION), and log back into your UNIX account. Closing all your X-term windows is not the same things as logging out. You have not logged out until the screen blanks and the Login dialog box appears again.
 


George Washington University School of Engineering and Applied Science Dept. of Electical and Computer Engineering ECE 128 Homepage